Isco_1608

MrPLC Member
  • Content count

    1
  • Joined

  • Last visited

Everything posted by Isco_1608

  1. think this is a good one //scan bit for fIndex:= 0 to 6 do     if  testbit.[fIndex] then         testResult := testResult + 1;     end_if; end_for; //set-reset result and restart if fIndex > 5 then     if testResult > 1 then         testbit.10 := 1;         else         testbit.10 := 0;     end_if;     fIndex := 0;     testResult:= 0; end_if;