Sign in to follow this  
Followers 0
Dadde

fernhill Gx Works 2 & Fernhill SCADA, cannot create bit IO tag, melsec error 4031h?

2 posts in this topic

Hello, I have a Q02CPU and QJ71E71-100 terminal and want to do a simple test of the Fernhill SCADA system.

I have successfully connected the Fernhill software project to my PLC and gotten a word variable from the PLC be represented by a tag in the Fernhill SCADA system.

However, I have issues doing the same for my bit variables. In Fernhill they should be internal relays but I get error 4031h in Fernhill: Melsec Error 4031h - The specified device number is outside the device range.

I do not understand what is wrong. My PLC variables are each a global variable, internal relay in other words. In Fernhill I define each tag as an internal relay. The "device" from my simplified understanding is the identity of the variable in the PLC. It matches the PLC and Fernhill as shown in the pictures provided.

Thanks in advance!

PS. I don't get the error if I define the tags as Data Registers instead like I did for the analog variable. But 1) That is not what they are and 2) The tags don't change when the PLC variable is modified. Just a bit suspicious that it stops complaining when it is clearly incorrect...

PLC_variables.png

Fernhill_Analog_tag.png

Fernhill_Input_tag.png

Fernhill_Output_tag.png.accbb3a20cba874eFernhill_Error_1.png

Fernhill_Error_2.png

Edited by Dadde

Share this post


Link to post
Share on other sites

I solved it by changing the device field in the global label in the plc. Input_1 = M0 and Ouput_1 = M1. I changed the IOItemName fields in the Fernhill software as well to reflect this.

My conclusion is that the Fernhill software could not use the device ranges the PLC uses. I would have expected the PLC to be the one to decide that, but not in this case apparently!

Share this post


Link to post
Share on other sites

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!


Register a new account

Sign in

Already have an account? Sign in here.


Sign In Now
Sign in to follow this  
Followers 0