Sign in to follow this  
Followers 0
Jimbo

Access DINT by an index

3 posts in this topic

Hello, I am trying to increment a DINT that is not a problem with the instruction DINC. But the DINT has to be referd to by an index, eg : D3000Z0. If i use this syntax the compiler say's "Type mismatch on output variable". I am using GX Developer 5.02 and i program in ladder. I have also tried K2D3000Z0 but that gives the same result. If my explanation wasnt clear enough in attachment a printscreen of the program. Greetz, Jimbo

Share this post


Link to post
Share on other sites
In the IEC ladder editor, the compiler will assume that any "D" reference is an INT rather than a DINT. To use a DINT you must declare it in the header as such, however, when you do this, you can't use the index registers. It will however work quite happily in MELSEC Instruction list, i.e. LD X0Z0 DINC D2000Z1 I don't know of any other solutions but I'll look for more

Share this post


Link to post
Share on other sites
Here it is using GX Developer 7.11M: Edited by panic mode

Share this post


Link to post
Share on other sites

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!


Register a new account

Sign in

Already have an account? Sign in here.


Sign In Now
Sign in to follow this  
Followers 0